Files
Scanning the repository...
Last update 4 years 6 months
by Aditya Sehgal
FilesAlchitry_AU_Constraints | |
---|---|
.. | |
.DS_Store | |
AU_Basic_Constraints.xdc | |
alchitry.xdc | |
shield.xdc |
shield.xdcset_property PACKAGE_PIN P8 [get_ports {io_sel[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_sel[0]}] set_property PACKAGE_PIN R8 [get_ports {io_sel[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_sel[1]}] set_property PACKAGE_PIN N9 [get_ports {io_sel[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_sel[2]}] set_property PACKAGE_PIN P9 [get_ports {io_sel[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_sel[3]}] set_property PACKAGE_PIN T5 [get_ports {io_seg[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[0]}] set_property PACKAGE_PIN R5 [get_ports {io_seg[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[1]}] set_property PACKAGE_PIN T9 [get_ports {io_seg[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[2]}] set_property PACKAGE_PIN R6 [get_ports {io_seg[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[3]}] set_property PACKAGE_PIN R7 [get_ports {io_seg[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[4]}] set_property PACKAGE_PIN T7 [get_ports {io_seg[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[5]}] set_property PACKAGE_PIN T8 [get_ports {io_seg[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_seg[6]}] set_property PACKAGE_PIN T10 [get_ports {dp}] set_property IOSTANDARD LVCMOS33 [get_ports {dp}] #btnU - s1 set_property PACKAGE_PIN C6 [get_ports {io_btn[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_btn[0]}] set_property PULLDOWN true [get_ports {io_btn[0]}] #btnC - s2 set_property PACKAGE_PIN C7 [get_ports {io_btn[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_btn[1]}] set_property PULLDOWN true [get_ports {io_btn[1]}] #btnD - s3 set_property PACKAGE_PIN A7 [get_ports {io_btn[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_btn[2]}] set_property PULLDOWN true [get_ports {io_btn[2]}] #btnL - s4 set_property PACKAGE_PIN B7 [get_ports {io_btn[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_btn[3]}] set_property PULLDOWN true [get_ports {io_btn[3]}] #btnR - s5 set_property PACKAGE_PIN P11 [get_ports {io_btn[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {io_btn[4]}] set_property PULLDOWN true [get_ports {io_btn[4]}] set_property PACKAGE_PIN D6 [get_ports {io_dip}] set_property IOSTANDARD LVCMOS33 [get_ports {io_dip}] set_property PULLDOWN true [get_ports {io_dip}]